Welcome![Sign In][Sign Up]
Location:
Search - vhdl uart

Search list

[Other resourceuart from opencores

Description: 用VHDL实现串口 可以实现与pc机的通信 收发 中断都可以 效果比较好-VHDL implement serial port, it can communicate with pc, it can accept and send message, and it can be interrupted.
Platform: | Size: 9254 | Author: 熊明 | Hits:

[Other resourcevhdl-2

Description: UART 的VHDL源代码。可在ISE, Max-Plus II,等开发环境下实现。-UART VHDL source code. The ISE, Max-Plus II, and other development environments under.
Platform: | Size: 59976 | Author: lileiming | Hits:

[WEB CodeUART(FPGA)

Description: 基于FPGA的串行通信UART控制器,采用VHDL语言编写,包含多个子模块。 在ISE或FPGA的其它开发环境下新建一个工程,然后将文档中的各个模块程序添加进去,即可运行仿真。源程序已经过本人的仿真验证。-FPGA-based UART serial communication controller, using VHDL language, includes a number of sub-module. ISE FPGA or in the other developing a new environment, then documentation of the various modules of procedures added to it, will be running simulation. I have been the source of the simulation.
Platform: | Size: 14758 | Author: 李浩 | Hits:

[Other resourceuart-verilog-vhdl

Description: 拿verilog和vhdl编写的串口通信代码(可综合)-with vhdl and verilog prepared by the serial communication code (synthesis)
Platform: | Size: 295414 | Author: 刘索山 | Hits:

[Other resourceVHDL_UART

Description: VHDL语言的UART串行接口芯片程序,仅供学习使用-VHDL UART serial interface chip procedure is for learning
Platform: | Size: 4263 | Author: MINGER | Hits:

[Linux-UnixUART

Description: 自己用VHDL写的一个串口程序,调试成功,并且用到了项目中,希望初学者可以借鉴下
Platform: | Size: 306127 | Author: yanglei | Hits:

[Other resourceuart

Description: vhdl书写uart代码,经验证功能非常的全.
Platform: | Size: 405699 | Author: zjc | Hits:

[Other resourceuart(serial)-200792511240998

Description: 基于vhdl 的串行接口 具有完整的程序
Platform: | Size: 265662 | Author: weixing | Hits:

[Other resourceuart

Description: 串口通讯协议,你您可以自己建个工程,再将需要的VHDL文本,添加到工程中,理解程序在仿真!
Platform: | Size: 10448 | Author: 张亚伟 | Hits:

[Other resourceVHDL-XILINX-EXAMPLE26

Description: [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现ADC0809的采样电路设计][15--DMA方式A/D采样控制电路设计][16--硬件电子琴][17--乐曲自动演奏][18--秒表][19--移位相加8位硬件乘法器][20--VGA图像显示控制器(彩条)][21--VGA图像显示控制器][22--等精度频率计][23--模拟波形发生器][24--模拟示波器][25--通用异步收发器(UART)][26--8位CPU设计(COP2000)]
Platform: | Size: 3688067 | Author: fuhao | Hits:

[Com Portuart

Description: 开源的串口通信程序,用vhdl 编写的,已通过测试,在DE2的开发板上能够运行。
Platform: | Size: 1865 | Author: caijl88 | Hits:

[Other resourceuart

Description: vhdl语言编写的实现uart协议的程序,用于rs232电气接口程序开发.支持比特率从2400-115200.
Platform: | Size: 5180 | Author: 陈想 | Hits:

[Other resourceuart

Description: VHDL编写的异步通信串行口设计用Quartus工具编译
Platform: | Size: 213116 | Author: 朱兆斌 | Hits:

[Other resourceUART

Description: 经典UART程序,通用异步收发器设计的vhdl语言
Platform: | Size: 6740 | Author: yu_leo | Hits:

[VHDL-FPGA-VerilogUART

Description: 自己总结的UART的设计及分析,已在实际工程中应用到,并且带有源代码和仿真代码,总结的文档,非常有用。(My summary of the design and analysis of UART, has been applied in practical engineering, and with source code and simulation code, summary of the document, very useful.)
Platform: | Size: 232448 | Author: 何河 | Hits:

[VHDL-FPGA-Veriloguart

Description: VHDL CODE FOR UART IN DEEP MODIFIED
Platform: | Size: 290816 | Author: ranveer | Hits:

[VHDL-FPGA-Verilog基于VHDL的UART控制器设计

Description: UART模块的VHDL语言设计(Design of VHDL language based on UART module)
Platform: | Size: 1039360 | Author: 周杰---123 | Hits:

[VHDL-FPGA-Veriloguart_txd

Description: 用VHDL实现的串口数据发送模块。使用的软件为ISE和modelsim。(Serial data transmission module implemented with VHDL.The software used is ISE and modelsim.)
Platform: | Size: 196608 | Author: xdytf | Hits:

[VHDL-FPGA-Verilogteacher_uart

Description: 由verilog编写的uart收发模块,能够在串口助手发送字符,并在数码管上显示,开发板为basys3 内置约束文件(The UART transceiver module written by Verilog can send characters to serial assistant and display them on the digital tube. the development board is built-in constraint file of basys3)
Platform: | Size: 1925120 | Author: abc1997 | Hits:

[VHDL-FPGA-Verilog串口电压表VHDL

Description: 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
Platform: | Size: 4210688 | Author: LB明 | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 29 »

CodeBus www.codebus.net